Fix Typo in ng_hci_le_connection_complete_ep struct.

PR:	246538
Submitted by:	Marc Veldman
This commit is contained in:
Takanori Watanabe 2020-05-19 13:58:52 +00:00
parent 6580e4c1c6
commit 022f27959e
Notes: svn2git 2020-12-20 02:59:44 +00:00
svn path=/head/; revision=361254

View File

@ -1964,7 +1964,7 @@ typedef struct {
u_int16_t interval;
u_int8_t latency;
u_int16_t supervision_timeout;
u_int8_t master_clock_accracy;
u_int8_t master_clock_accuracy;
} __attribute__ ((packed)) ng_hci_le_connection_complete_ep;