Add mips support.

This commit is contained in:
Warner Losh 2008-04-04 21:33:41 +00:00
parent fd08931dd5
commit 268f9be78d
Notes: svn2git 2020-12-20 02:59:44 +00:00
svn path=/head/; revision=177926

View File

@ -53,6 +53,9 @@
#if __ia64__
# include "ia64.h"
#endif
#if __mips__
# include "mips.h"
#endif
#if __powerpc__
# include "powerpc.h"
#endif