Add an entry for ichwd to hopefully unbreak the LINT build.

This commit is contained in:
Ian Dowse 2004-08-29 03:59:49 +00:00
parent cf96390dc6
commit 776981d545
Notes: svn2git 2020-12-20 02:59:44 +00:00
svn path=/head/; revision=134466

View File

@ -496,6 +496,7 @@ dev/hme/if_hme_pci.c optional hme pci
dev/hme/if_hme_sbus.c optional hme sbus
dev/ichsmb/ichsmb.c optional ichsmb
dev/ichsmb/ichsmb_pci.c optional ichsmb pci
dev/ichwd/ichwd.c optional ichwd
dev/ida/ida.c optional ida
dev/ida/ida_disk.c optional ida
dev/ida/ida_eisa.c optional ida eisa