Use correct flag for event index.

Submitted by:	luigi
Obtained from:	Vincenzo Maffione, Universita` di Pisa
MFC after:	1 week
This commit is contained in:
Peter Grehan 2014-07-03 00:23:14 +00:00
parent a88f19d8f1
commit f23a8ac1b9
Notes: svn2git 2020-12-20 02:59:44 +00:00
svn path=/head/; revision=268202

View File

@ -437,7 +437,7 @@ vq_endchains(struct vqueue_info *vq, int used_all_avail)
if (used_all_avail &&
(vs->vs_negotiated_caps & VIRTIO_F_NOTIFY_ON_EMPTY))
intr = 1;
else if (vs->vs_flags & VIRTIO_EVENT_IDX) {
else if (vs->vs_negotiated_caps & VIRTIO_RING_F_EVENT_IDX) {
event_idx = VQ_USED_EVENT_IDX(vq);
/*
* This calculation is per docs and the kernel