Commit Graph

806 Commits

Author SHA1 Message Date
David Schultz
2eb5318e94 - Split tests into three rough categories.
- Use fesetround() instead of fpsetround(), and add tests for
  various rounding modes.
- Test that all NaNs generated are quiet.

Some of these tests won't pass until problems in vendor sources
(gdtoa and gcc) are fixed and new versions imported, but I
want to get these changes into the tree before I accidentally
blow them away again.  :-(
2005-03-01 01:43:20 +00:00
David Schultz
73961b3d51 - Split the printfloat test into 11 individually wrapped and packaged
tests.  (Buy 10, get one free!)  The separate categories were
  already there; they just weren't labeled.
- Use fesetround() instead of fpsetround(), since the former is
  standard and implemented on all supported architectures.  Add
  tests for each rounding mode.
- Add additional tests for subnormals.

Some of these tests won't pass until problems in vendor sources
(gdtoa and gcc) are fixed and new versions imported, but I
want to get these changes into the tree before I accidentally
blow them away.
2005-03-01 01:43:05 +00:00
Ruslan Ermilov
8945135e1f Bootstrap gencat(1).
OK'ed by:	phantom
2005-02-27 19:13:41 +00:00
Ruslan Ermilov
6ad80d4f0d As threatened, drop support for source upgrades from pre-5.3.
Inspired by:	obrien
2005-02-27 11:22:58 +00:00
Ruslan Ermilov
3fb3a43079 Make the format of LC_CTYPE files architecture independent by
introducing the disk formats for _RuneLocale and friends.

The disk formats do not have (useless) pointers and have 32-bit
quantities instead of rune_t and long.  (htonl(3) only works
with 32-bit quantities, so there's no loss).

Bootstrap mklocale(1) when necessary.  (Bootstrapping from 4.x
would be trivial (verified), but we no longer provide pre-5.3
source upgrades and this is the first commit to actually break
it.)
2005-02-26 21:47:54 +00:00
Robert Watson
9d62322505 Add a simple regression test for stream UNIX domain sockets and the
bind()/connect() system calls, which is intended to confirm that the
right successes and errors occur when rendezvousing via the file system
name space.
2005-02-20 22:21:53 +00:00
Robert Watson
edcd17dded Also build the netblast sub-directory, not just netreceive and netsend.
MFC after:	3 days
2005-02-12 20:04:23 +00:00
Robert Watson
1dd14b1a7b Mark the signum argument to signal_handler() as __unused.
MFC after:	3 days
2005-02-12 20:03:30 +00:00
Ruslan Ermilov
1042be684d Add to CFLAGS. 2005-02-12 18:09:20 +00:00
Poul-Henning Kamp
6628aaee13 Update a little bit. 2005-02-10 12:47:55 +00:00
David E. O'Brien
8460448167 Fix "to a file" example so it works (one must touch(1) the file first). 2005-02-07 11:26:53 +00:00
Dag-Erling Smørgrav
f07bfd38ec Sort branches in correct numeric order. 2005-02-07 07:55:00 +00:00
Dag-Erling Smørgrav
5658abbabd Replace RELENG_4_9 (no longer supported) with RELENG_4_11. 2005-02-06 13:56:12 +00:00
Nik Clayton
8948ad6b58 Add to CFLAGS, rather than overriding it. Use LDFLAGS for the -L option,
and LDLIBS to specify -ltap.

Submitted by:	ru
2005-02-01 09:26:34 +00:00
Nik Clayton
818287703d Link against libtap (ports/devel/libtap). Replace the calls to assert()
with calls to libtap::ok1(), and make sure the correct number of tests is
planned for, and that the exit code is correct.
2005-02-01 01:53:35 +00:00
Poul-Henning Kamp
9d736020c1 Use awk to correctly calculate partioning, round things off to cylinders
and reserve first track etc.
2005-01-30 13:12:13 +00:00
Marcel Moolenaar
ad284e38a3 Wrap calls to memcpy(3) in a function called block_copy(). This way,
and as long as we're not compiling with IPA, gcc(1) won't optimize
the call away. The whole purpose of using memcpy(3) is to avoid
misaligned loads and stores when we need to read or write the value
in the unaligned memory location. But if gcc(1) optimizes the call
to memcpy(3) away, it will typically introduce misaligned loads and
stores. In this context that's not a good idea.
2005-01-28 02:58:32 +00:00
Marcel Moolenaar
c0604e27a8 Skip the register based postinc stores here and don't count them
in the number of tests. This way we avoid skipped tests for non-
existent memory access combinations. The number of tests dropped
from 60 to 48.
2005-01-27 23:15:58 +00:00
Marcel Moolenaar
ced1bf58df Make the local variables global so that the compiler cannot assume
too much about them. This prevents certain peephole optimizations
at -O that invalidate the tests.
2005-01-27 23:11:55 +00:00
Marcel Moolenaar
0335d35b09 Fix the unaligned store with post increment test: The misaligned pointer
stopped pointing to the value the moment we wrote it due to the post
increment. So, grab the value for comparison out of the data structure
directly.
2005-01-27 22:46:15 +00:00
Marcel Moolenaar
b3efc9018f The unit test for unaligned loads/stores can be found under ../ia64. 2005-01-27 06:51:45 +00:00
Marcel Moolenaar
baacc4acdf Add tests for post increment. This bumps the number of tests up to
60. The postinc store tests currently fail (value mismatch). Hence
the score as of this commit is 48 out of 60. Either the kernel or
the tests need to be fixed.
2005-01-27 06:50:05 +00:00
Robert Watson
20ef44d423 Use WARNS?= instead of WARNS= in Makefiles so that global warning
settings can override local ones.

Pointed out by:	ru
2005-01-22 22:42:39 +00:00
Ruslan Ermilov
49aa339e39 Fixed xrefs. 2005-01-21 20:50:39 +00:00
Bruce M Simpson
2e8b465618 Braino. Revert previous commit, NO_MAN was already corrected.
Noticed by:	imp
2005-01-21 18:12:47 +00:00
Bruce M Simpson
dc5ae70194 Catch up with NO_MAN->NOMAN change. 2005-01-21 17:38:02 +00:00
Bruce M Simpson
a3119c562c If a device resides in physical slot 0, report it as being on-board as
per PIR specification.
Add the VIA VT82C686 PCI interrupt routing function as a known chipset.
2005-01-21 17:11:38 +00:00
Bruce M Simpson
92a50b006d Fix a masking error. Update copyright. 2005-01-21 17:05:33 +00:00
Bruce M Simpson
56bcfb6d4e Do not treat an invalid PIR table checksum as a fatal error condition, but
do print a warning about it.
Correct a stupid logic error.
Update copyrights.  Fix whitespace bug.

MFC after:	1 week
2005-01-21 16:15:24 +00:00
Mike Silbersack
cc73784391 Add two more pipe regression tests. Not yet hooked into the test framework. 2005-01-21 06:46:18 +00:00
Poul-Henning Kamp
f9fd1c01df ioctl(2) commands are u_long not int.
Pass zero for sysctl new argument length, not NULL);
2005-01-18 07:42:17 +00:00
Robert Watson
2d7142bf0d In refactoring the test before committing, a pointer was passed instead
of a structure for the sockaddr_in.  Pass the pointer to connect()
instead of the pointer to the pointer.

Specify a port number to connect to.
2005-01-16 15:01:28 +00:00
Robert Watson
81cfeee7bf Add udpconnectjail, a simple regression test to exercise an (as yet)
unfixed bug in the jail() implementation relating to using the connect()
system call on UDP sockets.

PR:	26506
2005-01-16 13:05:32 +00:00
Maxim Sobolev
c520d61bc3 Add test which excersises problem with unability to change association of
already associated datagram unix domain socket by issuing connect() system
call.
2005-01-12 09:57:18 +00:00
David Schultz
b33f870fba Regression tests for [l]lrint[f]() and [l]lround[f](). 2005-01-11 23:13:36 +00:00
Pawel Jakub Dawidek
080361d6b8 Introduce a new GEOM class - SHSEC. It provides sharing secret between
the given providers. Without even one of the configured components there
should be no way to get the secret.

Supported by:	WHEEL Sp. z o.o.
		http://www.wheel.pl
2005-01-11 18:06:44 +00:00
Pawel Jakub Dawidek
79f717591c Fix incorrect comment.
MFC after:	3 days
2005-01-11 14:11:14 +00:00
Andrew R. Reiter
bccebad491 - Initial revamp of vop_table.tcl. This scripting is ugly and will be
cleaned up; just wanted to make a place holder.  The biggest issue is
  that it is an incomplete revamp; that is... the inconsistent naming
  table generation is not done yet ... will be added soon with the clean
  up.  The purpose of this script is to handle the vop_vector changes that
  phk@ did over the recent weeks.
2005-01-05 06:53:03 +00:00
Robert Watson
b2345210d0 Add a simple regression test for mlock()/munlock() to make sure they
work on a single page as root, and fails on a single page as nobody.
Intended to help diagnose reports regarding insecure memory use with
gnupg.
2005-01-03 19:56:20 +00:00
Marcel Moolenaar
938e27a7e5 Replace a mention of the ia64_unaligned directory with one of the
ia64 subdirectory. All ia64 specific regression tests live under
the ia64 directory.
2005-01-02 21:40:36 +00:00
Marcel Moolenaar
c5b5bf81c9 Regression test for unaligned loads and stores for short, int, long,
float, double and long double types. No post-increment tests yet.
All tests are skipped if the debug.unaligned_test sysctl variable
cannot be set to 1.
2005-01-02 21:33:40 +00:00
Sam Leffler
b0d1b8b275 new stat 2004-12-31 22:06:19 +00:00
Andrew R. Reiter
698e614184 - Add some notes on what is required to run schedgraph.py successfully.
This was pulled from Jeff Roberson's email to current@ "the other day."

Approved by: jeff@
2004-12-28 00:49:02 +00:00
Jeff Roberson
9a5c9d55e7 - For preemption and yield events, we want to skip the next two state events
as they are the setrunqueue() and sched_add() calls.  Since they happen
   immediately before the thread is placed on a run queue they would normally
   dwarf the more informative preemption or yield event and it is implicitly
   understood that a thread is back on the run queue as part of these events.
2004-12-26 03:25:08 +00:00
Jeff Roberson
b0e485185f - Call self.checkstamp() in the cpu load parsing functions in case the
timestamp has wrapped.
2004-12-26 02:02:34 +00:00
Jeff Roberson
3e16b3e04f - The regexp for the load should have been (/d+), fix this so loads over 9
are properly represented.
2004-12-26 01:18:49 +00:00
Jeff Roberson
dcee3bd312 - Add 'schedgraph' a scheduler trace visualization tool written with
python and tkinter.  Schedgraph takes input from files produces by
   ktrdump -ct when KTR_SCHED is compiled into the kernel.  The output
   represents the states of each thread with colored line segments as well
   as colored points for non-state scheduler events.  Each line segment and
   point is clickable to obtain extra detail.
2004-12-26 00:13:07 +00:00
Pawel Jakub Dawidek
ad51776c88 Wait a bit after creating device. 2004-12-21 19:03:10 +00:00
Pawel Jakub Dawidek
ee7051f9f7 When RAID3 device is configured in "verify" mode, synchronization of the
parity component is now force on creation.
Change regression test which check "verify" mode to work properly after
this change.
2004-12-21 18:48:59 +00:00
Giorgos Keramidas
d499d50264 Fix the build of netrate/ with WARNS=5 and add a Makefile.inc to
make it the default for all the netrate test tools.

Approved by:	rwatson
2004-12-21 12:14:34 +00:00