freebsd-dev/sys/dev
2011-10-11 21:52:24 +00:00
..
aac Second-to-last commit implementing Capsicum capabilities in the FreeBSD 2011-08-11 12:30:23 +00:00
acpi_support Fix a few more SYSCTL_PROC() that were missing a CTLFLAG type specifier. 2011-01-19 00:57:58 +00:00
acpica Improve the sleep_delay sysctl description by specifying which unit 2011-09-13 15:57:29 +00:00
adb Restore binary compatibility for GIO_KEYMAP and PIO_KEYMAP. 2011-07-17 08:19:19 +00:00
adlink Remove an unnecessary INTR_MPSAFE and a comment suggesting it was 2011-01-06 21:02:14 +00:00
advansys Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
ae - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
age - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
agp Do a sweep of the tree replacing calls to pci_find_extcap() with calls to 2011-03-23 13:10:15 +00:00
aha
ahb
ahci Add one more ID for the Marvell 88SE9128 6Gbps SATA controller. 2011-09-27 09:32:34 +00:00
aic
aic7xxx Improve portability #defines for compiling aicasm on other systems. 2011-07-15 00:36:47 +00:00
alc Disable PHY hibernation until I get more detailed hibernation 2011-08-22 20:33:05 +00:00
ale Fix typo. 2011-05-19 23:13:08 +00:00
amd Remove extraneous semicolons, no functional changes. 2010-01-07 21:01:37 +00:00
amdsbwd amdsbwd: update to support SB8xx southbridges 2011-06-07 06:18:02 +00:00
amdtemp
amr Second-to-last commit implementing Capsicum capabilities in the FreeBSD 2011-08-11 12:30:23 +00:00
an Remove duplicate header includes 2011-06-28 08:36:48 +00:00
arcmsr Update arcmsr(4) to 1.20.00.22 to solve recursive acquisition of buffer 2011-08-16 08:41:37 +00:00
asmc
asr Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
ata Add a "kern.features.ata_cam" sysctl in the kernel when the ATA_CAM kernel 2011-10-09 21:42:02 +00:00
ath Add an AR5416 aware version of the "current RSSI" function. 2011-10-04 00:32:10 +00:00
atkbdc Restore binary compatibility for GIO_KEYMAP and PIO_KEYMAP. 2011-07-17 08:19:19 +00:00
auxio Enroll these drivers in multipass probing. The motivation behind this 2009-12-22 21:02:46 +00:00
bce BCE_MISC_ID register of BCM5716 returns the same id of BCM5709 so 2011-10-08 00:00:54 +00:00
bfe - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
bge Correctly disable jumbo frame support for BCM5719 A0. 2011-05-15 21:44:51 +00:00
bktr In order to maximize the re-usability of kernel code in user space this 2011-09-16 13:58:51 +00:00
bm Remove some hacks to handle strange behavior of LXT 970 PHYs now better 2011-05-12 14:27:28 +00:00
buslogic Remove some always-true comparisons. 2011-01-18 15:23:16 +00:00
bwi Fix an incorrect use of sizeof(). 2011-10-10 02:54:58 +00:00
bwn Remove dead code, "error" doesn't change between this check and the 2011-10-08 10:50:48 +00:00
bxe - Fix compiler warning in ADD_64() macro. 2011-09-13 15:49:28 +00:00
cardbus Partially revert 222753: If a CardBus card stores its CIS in a BAR, delete 2011-09-12 15:21:52 +00:00
cas - Expand the scope of the lock in the interrupt routine to close races with 2011-07-13 18:52:11 +00:00
ce Revert r213793. 2010-10-13 17:38:23 +00:00
cfe Follow up to r225203 refining break-to-debugger run-time configuration 2011-08-27 14:24:27 +00:00
cfi Merge r221614,221696,221737,221840 from largeSMP project branch: 2011-05-22 20:55:54 +00:00
ciss Add descriptor sense support to CAM, and honor sense residuals properly in 2011-10-03 20:32:55 +00:00
cm Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
cmx
coretemp #PROCHOT assertion is sticky after reading the MSR (accordingly with 2011-09-19 10:58:30 +00:00
cp Revert r213793. 2010-10-13 17:38:23 +00:00
cpuctl
cpufreq
cs Use bus space functions rather than inw/outw 2011-01-29 00:53:58 +00:00
ct Spell "Hz" correctly wherever it is user-visible. 2010-01-12 17:59:58 +00:00
ctau Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
cx Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
cxgb Update T3 firmware to 7.11.0 2011-03-25 20:53:02 +00:00
cxgbe - driver ioctl to get SGE context for any given queue. 2011-06-11 04:50:54 +00:00
cy
dc Remove duplicate header includes 2011-06-28 08:36:48 +00:00
dcons Follow up to r225203 refining break-to-debugger run-time configuration 2011-08-27 14:24:27 +00:00
de Remove extraneous semicolons, no functional changes. 2010-01-07 21:01:37 +00:00
digi
dpms Attach dpms(4) to vgapm and make sure to restore DPMS state after 2009-12-15 19:58:23 +00:00
dpt Get rid of #ident, GCC 4.4 warns about it being deprecated. 2010-01-08 17:20:41 +00:00
drm Fix display of the drm sysctls. 2011-04-23 23:11:44 +00:00
e1000 Clear transmit checksum offload context state upon lem(4) interface 2011-09-17 13:48:09 +00:00
ed - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
eisa
en Remove duplicate header includes 2011-06-28 08:36:48 +00:00
ep Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
esp Merge from r225950: 2011-10-07 08:59:54 +00:00
et Remove duplicate header includes 2011-06-28 08:36:48 +00:00
ex Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
exca CardBus instead of cardbus. 2010-01-03 23:26:29 +00:00
fatm Specify a CTLTYPE_FOO so that a future sysctl(8) change does not need 2011-01-18 21:14:23 +00:00
fb Make sparc64 compatible with NEW_PCIB and enable it: 2011-10-02 23:22:38 +00:00
fdc Remove duplicate header includes 2011-06-28 08:36:48 +00:00
fdt Change rman_manage_region() to actually honor the rm_start and rm_end 2011-04-29 18:41:21 +00:00
fe Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
firewire Add descriptor sense support to CAM, and honor sense residuals properly in 2011-10-03 20:32:55 +00:00
flash Modify the spi flash driver to allow smaller read IO sizes, but enforce 2011-02-16 20:07:44 +00:00
fxp - Follow the lead of dcphy(4) and pnphy(4) and move the reminder of the PHY 2011-10-08 12:33:10 +00:00
gem Revert r224157, re-enabling r222135. The underlying problem keeping the 2011-08-19 19:13:31 +00:00
glxiic Fix breakage on pc98 by redefining DEBUG(). 2011-05-15 19:04:08 +00:00
glxsb
gpio Add a GPIO driver for the Gateworks Cambria platform. 2010-11-11 20:18:33 +00:00
hatm Remove extraneous semicolons, no functional changes. 2010-01-07 21:01:37 +00:00
hifn No need to zero the softc. It's allocated with M_ZERO. 2010-12-18 14:24:24 +00:00
hme - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
hptiop Add PCI ID for RocketRAID 4321 and 4322. A FreeNAS user have tested the 2011-08-01 21:12:41 +00:00
hptmv - Merge changes to the base system to support OFED. These include 2011-03-21 09:40:01 +00:00
hptrr Pass a format string to make_dev(). 2010-10-13 14:41:52 +00:00
hwpmc Begin implementing correct MIPS24K sampling mode behaviour. 2011-10-07 06:13:38 +00:00
ic Merge from projects/mips to head by hand: 2010-01-11 04:13:06 +00:00
ichsmb Revert r224736 as the introduced value was already present. 2011-08-09 20:55:54 +00:00
ichwd Fix a bug in ichwd(4) which prevents it from beig enabled if the new 2011-09-02 17:06:50 +00:00
ida
ie Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
ieee488 Implement more of __ibsta: END and SRQI status bits (taken out of the 2010-12-10 22:20:11 +00:00
if_ndis Revert the ndis part of r212122, windrv_stub.c already adds a MODULE_VERSION 2011-01-22 21:33:18 +00:00
iicbus Tag mbufs of all incoming frames or packets with the interface's FIB 2011-07-03 16:08:38 +00:00
iir Add descriptor sense support to CAM, and honor sense residuals properly in 2011-10-03 20:32:55 +00:00
io - Extract the IODEV_PIO interface from ia64 and make it MI. 2010-04-28 15:38:01 +00:00
ipmi Second-to-last commit implementing Capsicum capabilities in the FreeBSD 2011-08-11 12:30:23 +00:00
ips Fix argument order in a call to mtx_init. 2009-12-17 00:21:12 +00:00
ipw Add module version to iwi/ipw/wpi and iwn. 2011-05-31 19:08:25 +00:00
iscsi/initiator Do not ignore block offsets. 2011-10-10 13:26:53 +00:00
isp Sync with ahc(4)/ahd(4)/sym(4) etc: 2011-10-07 21:23:42 +00:00
ispfw Update firmware to more recent versions. 2011-01-05 23:15:22 +00:00
iwi Add module version to iwi/ipw/wpi and iwn. 2011-05-31 19:08:25 +00:00
iwn Recognize the Intel(R) Centrino(R) Wireless-N 130. 2011-10-09 21:36:14 +00:00
ixgb Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
ixgbe The maximum read size of incoming packets is done in 1024-byte increments. 2011-09-05 17:54:19 +00:00
jme - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
joy
kbd Restore binary compatibility for GIO_KEYMAP and PIO_KEYMAP. 2011-07-17 08:19:19 +00:00
kbdmux Restore binary compatibility for GIO_KEYMAP and PIO_KEYMAP. 2011-07-17 08:19:19 +00:00
ksyms Promote ksyms_map() and ksyms_unmap() to general facility 2011-03-28 12:48:33 +00:00
le Make sparc64 compatible with NEW_PCIB and enable it: 2011-10-02 23:22:38 +00:00
led MFgraid/head r218174: 2011-03-24 08:56:12 +00:00
lge - Remove unused remnants of MII bitbang'ing. 2011-10-11 21:52:24 +00:00
lindev
lmc Tag mbufs of all incoming frames or packets with the interface's FIB 2011-07-03 16:08:38 +00:00
malo Do a sweep of the tree replacing calls to pci_find_extcap() with calls to 2011-03-23 13:10:15 +00:00
mc146818 Remove clause 3 from Izumi Tsutsui's licenses. 2009-12-25 22:58:43 +00:00
mca
mcd
md Include sys/sbuf.h directly. 2011-07-11 05:19:28 +00:00
mem Add reader/writer lock around mem_range_attr_get() and mem_range_attr_set(). 2011-01-17 22:58:28 +00:00
mfi - Add special support for the MFI_CMD ioctl with MFI_CMD_STP command, used 2011-09-29 08:37:53 +00:00
mge Convert the PHY drivers to honor the mii_flags passed down and convert 2010-10-15 14:52:11 +00:00
mii - Follow the lead of dcphy(4) and pnphy(4) and move the reminder of the PHY 2011-10-08 12:33:10 +00:00
mk48txx Add support for MK48T37. 2011-05-15 13:17:08 +00:00
mlx
mly Add descriptor sense support to CAM, and honor sense residuals properly in 2011-10-03 20:32:55 +00:00
mmc Fix integer overflow on 32bit systems when calculating media size, 2011-08-14 16:17:00 +00:00
mn
mps Sync with ahc(4)/ahd(4)/sym(4) etc: 2011-10-07 21:23:42 +00:00
mpt Sync with ahc(4)/ahd(4)/sym(4) etc: 2011-10-07 21:23:42 +00:00
mse
msk Correctly check MAC running status before disabling TX/RX MACs. 2011-05-31 01:30:58 +00:00
mvs According to SATA specification, when Serial ATA Enclosure Management Bridge 2011-05-25 13:55:49 +00:00
mwl Revert r220907 and r220915. 2011-04-22 00:44:27 +00:00
mxge Update mxge(4) firmware to the latest version available from 2011-07-12 15:07:17 +00:00
my Remove duplicate header includes 2011-06-28 08:36:48 +00:00
ncv
nfe If driver is not running, disable interrupts and do not try to 2011-05-31 18:45:15 +00:00
nge - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
nmdm
nsp
null Move the ZERO_REGION_SIZE to a machine-dependent file, as on many 2011-05-13 19:35:01 +00:00
nve - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
nvram
nvram2env Import nvram2env, a device driver which imports various NVRAM-style 2011-04-03 11:55:48 +00:00
nxge Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
ofw Follow up to r225203 refining break-to-debugger run-time configuration 2011-08-27 14:24:27 +00:00
patm Fix a few more SYSCTL_PROC() that were missing a CTLFLAG type specifier. 2011-01-19 00:57:58 +00:00
pbio
pccard Make a couple of debug printfs DEVPRINTF. 2011-06-06 16:27:38 +00:00
pccbb Mark the card as bad on shutdown. This means that bus_child_present 2011-06-21 03:05:17 +00:00
pcf
pci Add missing XHCI early takeover code. The XHCI takeover code 2011-07-22 15:37:23 +00:00
pcn - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
pdq Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
powermac_nvram Add support for the Sharp/Micron flash chips to powermac_mvram(4). 2010-09-05 20:04:02 +00:00
ppbus Add missing va_end() to clean up after va_start(). 2011-10-07 20:54:12 +00:00
ppc - Add support for MosChip 9865 Single 1284 Printer port 2011-02-19 17:38:51 +00:00
pst
pty Fix whitespace inconsistencies in the TTY layer and its drivers owned by me. 2011-06-26 18:26:20 +00:00
puc Add Oxford Semiconductor OXPCIe952 (0x1c38) 1 port serial card. 2011-09-29 15:43:02 +00:00
quicc Convert Freescale PowerPC platforms to FDT convention. 2010-07-11 21:08:29 +00:00
ral Don't hardcode assumptions about basic rates, similar to what the rt2661 2011-04-09 14:45:50 +00:00
random Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
rc
re Add new device id of D-Link DGE-530T Rev. C controller. DGE-503T 2011-07-30 01:06:12 +00:00
rndtest
rp
rt Fix typo, lost 0 in SYSCTL_ADD_INT usage. 2011-07-11 08:42:09 +00:00
safe Use swap32() right. 2011-06-12 23:33:08 +00:00
sbni Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
scc Recognize the SAB 82532 found in Fujitsu PRIMEPOWER650 and 900. 2011-05-15 13:27:38 +00:00
scd
sdhci Fix read_ivar implementation for MMC and SD. 2011-05-30 06:23:51 +00:00
sec Convert Freescale PowerPC platforms to FDT convention. 2010-07-11 21:08:29 +00:00
sf - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
sge - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
si
siba Fix an infinite loop in siba_bwn_suspend(). 2011-10-08 12:09:57 +00:00
siis According to SATA specification, when Serial ATA Enclosure Management Bridge 2011-05-25 13:55:49 +00:00
sio Follow up to r225203 refining break-to-debugger run-time configuration 2011-08-27 14:24:27 +00:00
sis Remove duplicate header includes 2011-06-28 08:36:48 +00:00
sk Allocate the DMA memory shared between the host and the controller as 2011-03-11 22:21:12 +00:00
smbus
smc - Fix NULL pointer dereference when a packet of uneven size is being 2011-09-02 20:35:22 +00:00
sn Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
snc Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
snp Second-to-last commit implementing Capsicum capabilities in the FreeBSD 2011-08-11 12:30:23 +00:00
sound In order to maximize the re-usability of kernel code in user space this 2011-09-16 13:58:51 +00:00
speaker
spibus bus_add_child: change type of order parameter to u_int 2010-09-10 11:19:03 +00:00
ste - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
stg
stge Prefer KOBJMETHOD_END. 2011-10-09 20:27:20 +00:00
streams Add the fo_chown and fo_chmod methods to struct fileops and use them 2011-08-16 20:07:47 +00:00
sym Merge from r225950: 2011-10-07 08:59:54 +00:00
syscons In order to maximize the re-usability of kernel code in user space this 2011-09-16 13:58:51 +00:00
tdfx Second-to-last commit implementing Capsicum capabilities in the FreeBSD 2011-08-11 12:30:23 +00:00
ti - Allocate the DMA memory shared between the host and the controller as 2011-03-11 22:32:17 +00:00
tl - Add IFM_10_2 and IFM_10_5 media via tlphy(4) only in case the respective 2010-10-24 12:51:02 +00:00
tpm Add tpm(4) driver for Trusted Platform Module. 2010-08-12 00:16:18 +00:00
trm MFp4: Large set of CAM inprovements. 2010-01-28 08:41:30 +00:00
tsec Don't use the MAC address in the device tree if it's all zeroes 2011-01-28 23:40:13 +00:00
twa Fix a compile problem introduced with r212008 on 32bit: 2010-09-04 16:27:14 +00:00
twe Teach twe driver to report array stripe size to GEOM. 2009-12-25 17:34:43 +00:00
tws Add the 9750 SATA+SAS 6Gb/s RAID controller card driver, tws(4). Many 2011-10-04 21:40:25 +00:00
tx - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
txp Do a sweep of the tree replacing calls to pci_find_extcap() with calls to 2011-03-23 13:10:15 +00:00
uart Follow up to r225203 refining break-to-debugger run-time configuration 2011-08-27 14:24:27 +00:00
ubsec Cast the bus_size_t to a intmax_t rather than assuming type-punning to 2010-03-29 17:26:24 +00:00
usb Add USB mass storage quirk for device that emits errors after the automatic 2011-10-10 16:26:06 +00:00
utopia
vge vge(4) hardwares poll media status and generates an interrupt 2011-09-07 16:57:43 +00:00
vkbd Restore binary compatibility for GIO_KEYMAP and PIO_KEYMAP. 2011-07-17 08:19:19 +00:00
vr Sprinkle const. 2011-10-09 20:16:51 +00:00
vte - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
vx Fix typos - remove duplicate "the". 2011-02-21 09:01:34 +00:00
vxge Add in support for multicast. 2011-05-03 15:58:24 +00:00
watchdog - Add the possibility to reuse the already last used timeout when patting 2011-04-27 16:43:03 +00:00
wb - Remove attempts to implement setting of BMCR_LOOP/MIIF_NOLOOP 2011-05-03 19:51:29 +00:00
wds
wi sysctl(8) should use the CTLTYPE to determine the type of data when 2011-01-19 17:04:07 +00:00
wl Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
wpi Add module version to iwi/ipw/wpi and iwn. 2011-05-31 19:08:25 +00:00
xe Add new tunable 'net.link.ifqmaxlen' to set default send interface 2010-05-03 07:32:50 +00:00
xen Update netfront so that it queries and honors published 2011-09-21 00:15:29 +00:00
xl - Follow the lead of dcphy(4) and pnphy(4) and move the reminder of the PHY 2011-10-08 12:33:10 +00:00